Test and Diagnosis for Small-Delay Defects

Test and Diagnosis for Small-Delay Defects
Author: Mohammad Tehranipoor
Publisher: Springer Science & Business Media
Total Pages: 228
Release: 2011-09-08
Genre: Technology & Engineering
ISBN: 1441982973

This book will introduce new techniques for detecting and diagnosing small-delay defects in integrated circuits. Although this sort of timing defect is commonly found in integrated circuits manufactured with nanometer technology, this will be the first book to introduce effective and scalable methodologies for screening and diagnosing small-delay defects, including important parameters such as process variations, crosstalk, and power supply noise.

VLSI Dynamic Statistical Performance Verification and Timing/soft Error-resilient Design

VLSI Dynamic Statistical Performance Verification and Timing/soft Error-resilient Design
Author: Lu Wang
Publisher:
Total Pages: 106
Release: 2015
Genre: Integrated circuits
ISBN: 9781339308708

In recent years, as VLSI technology scales into the nanometer domain, increasingly significant parametric variations and prevalent defects bring an amount of new challenges into VLSI design. Such significant parametric variations and prevalent defects result from manufacturing process limitations, e.g., resolution limitation of lithography processes in manufacturing subwaveform layout features which leads to lateral dimension variations for layout features, and ultimately from the uncertainty principle of quantum physics which leads to variations of vertical dimensions of layout features, dopant concentration, temperature, stress, and so on. The problem is going to be aggravated during aggressive VLSI design further, e.g., with clock frequency, increasing device density, and on-chip temperature which contributes to the increase of parametric variations and defect densities, and with tighter design constraints which increase the vulnerability of VLSI designs to such parametric variations and defects. Performance verification becomes a growing challenge in nanometer-scale VLSI design due to those significant parametric variations and increasingly prevalent catastrophic defects. Such parametric variations and defects induce signal propagation delay variations which may accumulate along a path leading to timing errors at the component level According to quantum physics, these parametric variations cannot be reduced below certain levels at nanometer scale and hence must be handled by new design methods. This dissertation focuses on several emerging problems including dynamic delay test pattern generation and error-resilient design in nanometer-scale VLSI design. Nanometer-scale VLSI systems are subject to increasingly significant parametric variations and prevalent defects. Those parametric variations and prevalent defects result from manufacturing process limitations. The state-of-the-art statistical static delay test technology fails in capturing these dramatic parametric variations. As a result, it provides too pessimistic timing estimate and less accurate performance verification results to evaluate the system properly. In this thesis, we observe that VLSI timing analysis and power estimation targets the same circuit switching activities and signal probability-based statistical technique achieves accurate estimation rate in power estimation field. By leveraging with the existing power estimation techniques, a novel VLSI delay test pattern generation technology is proposed based on signal probability-based statistical timing analysis method. Furthermore, as clock frequency and parametric impedance increase significantly, power and ground supply voltage variation has become another indispensable parameter in dynamic statistical VLSI timing analysis and delay test. Power and ground supply voltage variation refers to the voltage drop or bounce on the supply network. Impacted supply voltage levels degrade cell transitions in a circuit and may cause timing errors when accumulating along paths. Delay test and timing analysis without consideration of such supply voltage variations may induce test escape. Existing delay test techniques considering supply voltage variations mainly focus on maximum supply voltage noise, however, maximum supply voltage noise is not necessarily related to maximum critical path delay. Instead of maximum supply voltage noises, two innovative algorithms are proposed aiming to maximize the impacted critical path delay directly. Besides, power and ground supply voltage variation is input-pattern related. Different input patterns provide different impact on supply voltage variations. Hence, a chicken-egg dilemma is formed between supply voltage noise and input patterns, which requires an iteration process to achieve the final balance scenario. In this thesis, we propose a novel flow of supply voltage variation-aware delay test pattern generation leveraging two proposed algorithms to generate delay test pattern which triggers maximum critical path delay. Finally, the final objective of delay testing is to prevent circuits from timing errors or to detect circuits which have developed faults subsequent to their commitment. Once timing/soft errors occur in the circuit, it would be the best that an error resilient mechanism, e.g. build-in soft error-resilient design technique, handles such errors that the integrity of outputs is guaranteed. As to error-resilient design, we leverage the existing fault-secure logic design techniques, and propose design methodologies for (1) group-sliced logic (GSL) networks with outputs in group distance-two code for guaranteed single soft error resilience, and (2) inversion-free logic (IFL) networks with outputs in delay-insensitive (DI) code, which clears all timing errors and achieves adaptive maximum performance in the absence of external soft errors at a higher area/power cost compared with the existing logic paradigms.

Nanometer Technology Designs

Nanometer Technology Designs
Author: Nisar Ahmed
Publisher: Springer Science & Business Media
Total Pages: 288
Release: 2010-02-26
Genre: Technology & Engineering
ISBN: 0387757287

Traditional at-speed test methods cannot guarantee high quality test results as they face many new challenges. Supply noise effects on chip performance, high test pattern volume, small delay defect test pattern generation, high cost of test implementation and application, and utilizing low-cost testers are among these challenges. This book discusses these challenges in detail and proposes new techniques and methodologies to improve the overall quality of the transition fault test.

Electronic Design Automation

Electronic Design Automation
Author: Laung-Terng Wang
Publisher: Morgan Kaufmann
Total Pages: 971
Release: 2009-03-11
Genre: Technology & Engineering
ISBN: 0080922007

This book provides broad and comprehensive coverage of the entire EDA flow. EDA/VLSI practitioners and researchers in need of fluency in an "adjacent" field will find this an invaluable reference to the basic EDA concepts, principles, data structures, algorithms, and architectures for the design, verification, and test of VLSI circuits. Anyone who needs to learn the concepts, principles, data structures, algorithms, and architectures of the EDA flow will benefit from this book. Covers complete spectrum of the EDA flow, from ESL design modeling to logic/test synthesis, verification, physical design, and test - helps EDA newcomers to get "up-and-running" quickly Includes comprehensive coverage of EDA concepts, principles, data structures, algorithms, and architectures - helps all readers improve their VLSI design competence Contains latest advancements not yet available in other books, including Test compression, ESL design modeling, large-scale floorplanning, placement, routing, synthesis of clock and power/ground networks - helps readers to design/develop testable chips or products Includes industry best-practices wherever appropriate in most chapters - helps readers avoid costly mistakes

Error Control for Network-on-Chip Links

Error Control for Network-on-Chip Links
Author: Bo Fu
Publisher: Springer Science & Business Media
Total Pages: 159
Release: 2011-10-09
Genre: Technology & Engineering
ISBN: 1441993134

This book provides readers with a comprehensive review of the state of the art in error control for Network on Chip (NOC) links. Coverage includes detailed description of key issues in NOC error control faced by circuit and system designers, as well as practical error control techniques to minimize the impact of these errors on system performance.

Basic Linear Design

Basic Linear Design
Author: Hank Zumbahlen
Publisher:
Total Pages:
Release: 2005-01-01
Genre: Electric circuits, Linear
ISBN: 9780916550288

High Temperature Electronics

High Temperature Electronics
Author: F. Patrick McCluskey
Publisher: CRC Press
Total Pages: 354
Release: 1996-12-13
Genre: Technology & Engineering
ISBN: 9780849396236

The development of electronics that can operate at high temperatures has been identified as a critical technology for the next century. Increasingly, engineers will be called upon to design avionics, automotive, and geophysical electronic systems requiring components and packaging reliable to 200 °C and beyond. Until now, however, they have had no single resource on high temperature electronics to assist them. Such a resource is critically needed, since the design and manufacture of electronic components have now made it possible to design electronic systems that will operate reliably above the traditional temperature limit of 125 °C. However, successful system development efforts hinge on a firm understanding of the fundamentals of semiconductor physics and device processing, materials selection, package design, and thermal management, together with a knowledge of the intended application environments. High Temperature Electronics brings together this essential information and presents it for the first time in a unified way. Packaging and device engineers and technologists will find this book required reading for its coverage of the techniques and tradeoffs involved in materials selection, design, and thermal management and for its presentation of best design practices using actual fielded systems as examples. In addition, professors and students will find this book suitable for graduate-level courses because of its detailed level of explanation and its coverage of fundamental scientific concepts. Experts from the field of high temperature electronics have contributed to nine chapters covering topics ranging from semiconductor device selection to testing and final assembly.