EDA for IC Implementation, Circuit Design, and Process Technology

EDA for IC Implementation, Circuit Design, and Process Technology
Author: Luciano Lavagno
Publisher: CRC Press
Total Pages: 608
Release: 2018-10-03
Genre: Technology & Engineering
ISBN: 1420007955

Presenting a comprehensive overview of the design automation algorithms, tools, and methodologies used to design integrated circuits, the Electronic Design Automation for Integrated Circuits Handbook is available in two volumes. The second volume, EDA for IC Implementation, Circuit Design, and Process Technology, thoroughly examines real-time logic to GDSII (a file format used to transfer data of semiconductor physical layout), analog/mixed signal design, physical verification, and technology CAD (TCAD). Chapters contributed by leading experts authoritatively discuss design for manufacturability at the nanoscale, power supply network design and analysis, design modeling, and much more. Save on the complete set.

Implementation of Complete Application-specific Integrated Circuits Design Using Low Power Methodology

Implementation of Complete Application-specific Integrated Circuits Design Using Low Power Methodology
Author: Mehran Amrbar
Publisher:
Total Pages: 78
Release: 2012
Genre: Application-specific integrated circuits
ISBN:

In today's technological advancements in VLSI industry, the limits of ASICs/FPGA chips in terms of area, power and speed are constantly shrinking. The end user requirements are also influencing these limits and pushing them to a new level on top of all these technological advancements. The effects of nanometer technologies on congestion, signal integrity, crosstalk etc. are becoming more significant as the technology sizes of semiconductor devices continue to decrease. All of these factors are affecting and forcing various technological methodologies throughout the design flow to constantly fight and keep updating the EDA tools to cop-up with these issues. Thus, there is always a need of constant learning and exposure to new advanced EDA tools like Synopsys Design Compiler, IC Compiler, PrimeTime, TetraMax etc. The aim of this project is to successfully complete the ASIC design flow with low power techniques, using the advance industry level tools. This project provides a solid base and practical hands-on experience of these advanced tools. It also provides an overview of types of ASICs, detailed ASIC standard design flow and Synopsys IC compiler flow. Along with this, the analysis of various design factors affecting the performance of the final chip such as power, area and timing is also performed. In this project, a RISC CHIP from Synopsys will be used to perform ASIC design flow and low power methodology.

Successful ASIC Design the First Time Through

Successful ASIC Design the First Time Through
Author: John Huber
Publisher: Springer
Total Pages: 224
Release: 1991-06-27
Genre: Computers
ISBN:

he very name application-specific integrated circuit, or ASIC, con T notes an ability to provide a dense package for a highly complex design targeted at a focused, often complex solution. The ability to create customized high-performance designs has come of age, facilitated by so phisticated tools that enable designers to cope with ever-increasing de mands for added product functionality, features, and complexity. Most designers are trained in the traditional methods of approaching complex digital electronics with standard parts but have little, if any, exposure to custom or even semicustom integrated circuit design. Most see only a broad survey of IC technology. This book is targeted at the new ASIC designer who is getting ready to tackle that first ASIC design and is concerned about the unknowns that lie ahead. Economic and perfor mance considerations as well as tool capability and process fabrication quality have evolved to the point where consideration of ASIC design is now commonplace in an ever-increasing number of electronic systems designs. Engineers are now given the challenge of coping not only with new technologies but with new design methodologies that are fundamen tally necessary and advantageous to support new competitive high-tech products. Laypeople and engineers alike have marveled at the advances made over the years in electronics' complexity, performance, density, and cost. The migration of systems to modules to boards to integrated circuits clearly underscores the radical transition that the physical incarnation of electronics has undergone.

ASIC & EDA

ASIC & EDA
Author:
Publisher:
Total Pages: 438
Release: 1994
Genre: Application-specific integrated circuits
ISBN:

ASIC Physical Design

ASIC Physical Design
Author: Pradeep Buddharaju
Publisher: Springer
Total Pages: 350
Release: 2012-06-28
Genre: Technology & Engineering
ISBN: 9789048196463

ASIC Physical Design is for anyone who would like to learn VLSI physical design as practiced in the industry. It is an essential introduction for senior undergraduates, graduates or for anyone starting work in the field of VLSI physical design. It covers all aspects of physical design, with related topics such as logic synthesis (from a physical design viewpoint), IP integration and design for manufacturing. It treats the physical design of very large scale integrated circuits in deep-submicron processes in a gradual and systematic manner. There are separate chapters dedicated to all the different tasks associated with ASIC physical design. In each chapter, real world examples show how decisions need to be made depending on the type of chips as well as the primary goals of the design methodology. It discusses the current capabilities of the available commercial EDA tools wherever applicable.

Harnessing VLSI System Design with EDA Tools

Harnessing VLSI System Design with EDA Tools
Author: Rajanish K. Kamat
Publisher: Springer Science & Business Media
Total Pages: 182
Release: 2011-10-03
Genre: Technology & Engineering
ISBN: 9400718640

With the proliferation of VHDL, the reference material also grew in the same order. Today there is good amount of scholarly literature including many books describing various aspects of VHDL. However, an indepth review of these books reveals a different story. Many of them have emerged simply as an improved version of the manual. While some of them deal with the system design issues, they lack appropriate exemplifying to illustrate the concepts. Others give large number of examples, but lack the VLSI system design issues. In nutshell, the fact which gone unnoticed by most of the books, is the growth of the VLSI is not merely due to the language itself, but more due to the development of large number of third party tools useful from the FPGA or semicustom ASIC realization point of view. In the proposed book, the authors have synergized the VHDL programming with appropriate EDA tools so as to present a full proof system design to the readers. In this book along with the VHDL coding issues, the simulation and synthesis with the various toolsets enables the potential reader to visualize the final design. The VHDL design codes have been synthesized using different third party tools such as Xilinx Web pack Ver.11, Modelsim PE, Leonrado Spectrum and Synplify Pro. Mixed flow illustrated by using the above mentioned tools presents an insight to optimize the design with reference to the spatial, temporal and power metrics.

Advanced ASIC Chip Synthesis

Advanced ASIC Chip Synthesis
Author: Himanshu Bhatnagar
Publisher: Springer Science & Business Media
Total Pages: 304
Release: 2012-11-11
Genre: Technology & Engineering
ISBN: 1441986685

Advanced ASIC Chip Synthesis: Using Synopsys® Design Compiler® and PrimeTime® describes the advanced concepts and techniques used for ASIC chip synthesis, formal verification and static timing analysis, using the Synopsys suite of tools. In addition, the entire ASIC design flow methodology targeted for VDSM (Very-Deep-Sub-Micron) technologies is covered in detail. The emphasis of this book is on real-time application of Synopsys tools used to combat various problems seen at VDSM geometries. Readers will be exposed to an effective design methodology for handling complex, sub-micron ASIC designs. Significance is placed on HDL coding styles, synthesis and optimization, dynamic simulation, formal verification, DFT scan insertion, links to layout, and static timing analysis. At each step, problems related to each phase of the design flow are identified, with solutions and work-arounds described in detail. In addition, crucial issues related to layout, which includes clock tree synthesis and back-end integration (links to layout) are also discussed at length. Furthermore, the book contains in-depth discussions on the basics of Synopsys technology libraries and HDL coding styles, targeted towards optimal synthesis solutions. Advanced ASIC Chip Synthesis: Using Synopsys® Design Compiler® and PrimeTime® is intended for anyone who is involved in the ASIC design methodology, starting from RTL synthesis to final tape-out. Target audiences for this book are practicing ASIC design engineers and graduate students undertaking advanced courses in ASIC chip design and DFT techniques. From the Foreword: `This book, written by Himanshu Bhatnagar, provides a comprehensive overview of the ASIC design flow targeted for VDSM technologies using the Synopsis suite of tools. It emphasizes the practical issues faced by the semiconductor design engineer in terms of synthesis and the integration of front-end and back-end tools. Traditional design methodologies are challenged and unique solutions are offered to help define the next generation of ASIC design flows. The author provides numerous practical examples derived from real-world situations that will prove valuable to practicing ASIC design engineers as well as to students of advanced VLSI courses in ASIC design'. Dr Dwight W. Decker, Chairman and CEO, Conexant Systems, Inc., (Formerly, Rockwell Semiconductor Systems), Newport Beach, CA, USA.

ASIC/SoC Functional Design Verification

ASIC/SoC Functional Design Verification
Author: Ashok B. Mehta
Publisher: Springer
Total Pages: 346
Release: 2017-06-28
Genre: Technology & Engineering
ISBN: 3319594184

This book describes in detail all required technologies and methodologies needed to create a comprehensive, functional design verification strategy and environment to tackle the toughest job of guaranteeing first-pass working silicon. The author first outlines all of the verification sub-fields at a high level, with just enough depth to allow an engineer to grasp the field before delving into its detail. He then describes in detail industry standard technologies such as UVM (Universal Verification Methodology), SVA (SystemVerilog Assertions), SFC (SystemVerilog Functional Coverage), CDV (Coverage Driven Verification), Low Power Verification (Unified Power Format UPF), AMS (Analog Mixed Signal) verification, Virtual Platform TLM2.0/ESL (Electronic System Level) methodology, Static Formal Verification, Logic Equivalency Check (LEC), Hardware Acceleration, Hardware Emulation, Hardware/Software Co-verification, Power Performance Area (PPA) analysis on a virtual platform, Reuse Methodology from Algorithm/ESL to RTL, and other overall methodologies.

Essential Electronic Design Automation (EDA)

Essential Electronic Design Automation (EDA)
Author: Mark Birnbaum
Publisher: Prentice Hall Professional
Total Pages: 256
Release: 2004
Genre: Technology & Engineering
ISBN: 9780131828292

& Describes the engineering needs addressed by the individual EDA tools and covers EDA from both the provider and user viewpoints. & & Learn the importance of marketing and business trends in the EDA industry. & & The EDA consortium is made up of major corporations including SUN, HP, and Intel.